CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - bcd VHDL

搜索资源列表

  1. bcd.rar

    0下载:
  2. vhdl编写的将二进制转BCD码的程序.直接源代码,适合新手编程,语法学习,BCD
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-27
    • 文件大小:202281
    • 提供者:yjh
  1. Trafficlight

    0下载:
  2. 系统设置一个两位BCD码倒计时计数器(计数脉冲1HZ),用于记录各状态持续时间; 因为各状态持续时间不一致,所以上述计数器应置入不同的预置数; 倒计时计数值输出至二个数码管显示; 程序共设置4个进程: ① 进程P1、P2和P3构成两个带有预置数功能的十进制计数器,其中P1和P3分别为个位和十位计数器,P2产生个位向十位的进位信号; ② P4是状态寄存器,控制状态的转换,并输出6盏交通灯的控制信号。-System to set up a two BCD code c
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1142
    • 提供者:kid
  1. binarytobcd

    0下载:
  2. 实现二进制到BCD的转换,相关算法可参考相关文档资料-convert binary number to BCD
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:3311
    • 提供者:CoCo
  1. binarytobcd_arithmetic

    0下载:
  2. Binary to BCD arithmetic. 这东西真不错-Binary to BCD arithmetic. This is something really good
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:3355
    • 提供者:Reguse
  1. Bin16_BCD5

    0下载:
  2. it is a binary16 to BCD converter .it will work on spartan 3 xilini devices.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:827
    • 提供者:ali
  1. bin2bcd

    0下载:
  2. Binary to BCD converter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:520
    • 提供者:Natacho
  1. MultBCD

    0下载:
  2. Multiplier BCD - vhdl-Multiplier BCD- vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:303488
    • 提供者:svxiuh
  1. bcd

    0下载:
  2. EDA 十进制计数器、BCD VHDL源代码-EDA decimal counter VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1219
    • 提供者:啊毛
  1. BCD

    0下载:
  2. vhdl写的十进制转BCD的源代码-vhdl decimal to BCD written the source code~~~~~~~~~~~~~~~~~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:124255
    • 提供者:zll
  1. BIN_BCD

    0下载:
  2. 用硬件描述语音实现二进制数据转换成BCD数据-Using hardware descr iption voice to achieve the binary data into BCD data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:620040
    • 提供者:sleeeeeeep
  1. HEX2BCD

    0下载:
  2. 基于fpga的二进制和BCD骂转换模块vhdl描述,只需修改相关参数即可使用-Fpga-based binary and BCD conversion module called vhdl descr iption, simply modify the relevant parameters to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:756
    • 提供者:郭帅
  1. Binary_to_BCD_Converter

    0下载:
  2. General Binary-to-BCD Converter The linked code is a general binary-to-BCD Verilog module, and I have personally tested the code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:25760
    • 提供者:volkan
  1. 4_in_1_converter

    0下载:
  2. this program can convert binary --> gray binary --> BCD BCD --> XS3 Gray --> binary... inputs will be of 4 bits for each converter.. If you have any doubt,then mail me at prem_bombay@yahoo.co.in -this program can conver
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:860
    • 提供者:Sumit
  1. binarytobcd

    0下载:
  2. binary to bcd which converts 8bit binary input to bcd -binary to bcd which converts 8bit binary input to bcd
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:3407
    • 提供者:suri
  1. BCD

    0下载:
  2. 基于VHDL语言,实现二进制转换为BCD码。-Based on the VHDL language, to achieve a binary code is converted to BCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2957
    • 提供者:xiaokun
  1. BCD

    0下载:
  2. BCD码和二进制之间的转化,FPGA中的实现,内附原理及代码!-BCD conversion between binary code and, FPGA Realization of, containing principles and code!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:165521
    • 提供者:rbj
  1. Bintograyconverter

    0下载:
  2. Bin to gray converter Input (DATA_IN) width : 4 Enable (EN) active : high Bin to Bcd converter Input (data_in) width : 4 Output (data_out) width : 8 Enable (EN) active : high -Bin to gray converter -- Input (D
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:887
    • 提供者:haodiangei
  1. 2-Decimal-BCD-Decoder

    0下载:
  2. 二-十进制BCD译码器,就是用VDHL编写的将二进制转化为十进制的BCD译码器-2- Decimal BCD Decoder, is to use VDHL written into the binary decimal BCD decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:540
    • 提供者:易云箫
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. BCD counter( state machine)

    0下载:
  2. a vhdl source code for BCD
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1050624
    • 提供者:maleki
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com